ASML equipment is loaded onto a freight airliner at Amsterdam's Schipol airport. ASML, whose laser-powered lithography tools are fundamental to semiconductor device manufacturing, has posted record-breaking orders as its customers ramp production capacity in response to the global chip shortage. Credit: ASML.

Each machine is roughly the size of a bus and costs a hefty US$150 million.

It contains 100,000 parts and 2 kilometers of cable. Shipping the damn thing to customers requires 40 shipping containers, 20 trucks and three Boeing 747s.

Only a few companies can afford them, and most of them go to the world’s big three leading-edge chipmakers: Taiwan-based TSMC, as well as Samsung, in South Korea, and Intel.

“It is really an incredible machine,” says Jesús del Alamo, a professor at MIT. “It’s an absolutely revolutionary product, a breakthrough that is going to give a new lease of life to the industry for years.”

So, what exactly is it, and what does it do?

For starters, it’s a game-changer. And that’s putting it lightly.

Built by the Dutch company ASML (Advanced Semiconductor Materials International), these extreme ultraviolet (EUV) lithography machines have cornered the market for etching the tiniest nanoscopic features into microchips with light, according to a report in Wired.

The company’s newest EUV system, a part of which is being built in Wilton, Connecticut, will use a new trick to minimize the wavelength of light it uses, shrinking the size of features on the resulting chips and boosting their performance more than ever before.

The pace of that miniaturization is known as Moore’s Law, named after Gordon Moore, a co-founder of the chip giant Intel.

ASML introduced the first EUV lithography machines for mass production in 2017, after decades spent mastering the technique.

A cutaway overview of ASML’s extreme ultraviolet (EUV) lithography equipment operation, as seen from above. Credit: ASML.

Known as High-NA technology, it will be crucial for making more advanced smartphones and cloud computers, and also for key areas of emerging technology such as artificial intelligence, biotechnology, and robotics.

The first chips made using the new systems may be minted by Intel, which has said it will get the first of them, expected by 2023.

How does it all work?

A chip starts out life as a cylindrical chunk of crystalline silicon that is sliced into thin wafers, which are then coated with layers of light-sensitive material and repeatedly exposed to patterned light.

The parts of silicon not touched by the light are then chemically etched away to reveal the intricate details of a chip. Each wafer is then chopped up to make lots of individual chips.

Shrinking the components on a chip remains the surest way to squeeze more computational power out of a piece of silicon because electrons pass more efficiently through smaller electronic components.

Reducing the wavelength of light used in chip manufacturing has helped drive miniaturization and progress from the 1960s onwards, and it is crucial to the next advance.

Machines that use visible light were replaced by those that use near-ultraviolet, which in turn gave way to systems that employ deep-ultraviolet in order to etch ever smaller features into chips.

Generating EUV light is itself a big problem.

ASML’s method involves directing high-power lasers at droplets of tin 50,000 times per second to generate high-intensity light.

ASML headquarters in Veldhoven, Netherlands,. The company said that in the financial quarter ending June 30 it received €8.3 billion in orders – sharply up from €4.7 billion registered in the March quarter. Credit: ASML.

Lenses absorb EUV frequencies, so the system uses incredibly precise mirrors (built by 175-year-old German optics firm Zeiss Group) coated with special materials instead.

The six specially shaped mirrors are ground, polished and coated over several months in an elaborate robotic process that uses ion beams to remove defects.

Inside ASML’s machine, EUV light bounces off the mirrors before passing through the reticle, which moves with nanoscale precision to to etch features just a few dozen atoms in size onto future computer chips.

Amid the recent chip shortage, ASML’s products have become central to a geopolitical struggle between the US and China, with Washington making it a high priority to block China’s access to the machines.

So far, the US government has successfully pressured the Dutch not to grant the export licenses needed to send the machines to China.

“You can’t make leading-edge chips without ASML’s machines,” says Will Hunt, a research analyst at Georgetown University studying the geopolitics of chipmaking.

“A lot of it comes down to years and years of tinkering with things and experimenting, and it’s very difficult to get access to that.”

Each component that goes into an EUV machine is “astonishingly sophisticated and extraordinarily complex,” he says.

A silicon wafer is seen through a scaled lens element. Credit: ASML.

ASML’s current generation of EUV machines can create chips with a resolution of 13 nanometers. The next generation will use High-NA to craft features 8 nanometers in size.

The EUV market is highly consolidated as ASML is the only manufacturer of lithography machines that use extreme ultraviolet light.

Asia Pacific continues to hold the largest revenue share in the global market for EUV lithography, with Taiwan expected to have an edge in the region over the forecast period from 2021 to 2026. 

The boom in demand means that ASML’s executive team now expects the company to post a 35% jump in sales this year, compared with the €14 billion total posted in 2020.

Company CEO Peter Wennink says that, in addition to demand from chip manufacturers, ASML is set to benefit from a trend towards “technological sovereignty,” with the US and Europe looking to ensure local production of critical semiconductors. 

The CEO is expecting continued long-term demand growth to meet the needs of what he calls “intelligent distributed systems” that combine sensors with computation.

“It’s the further roll out of 5G and 6G, it’s the progress we’re making on artificial intelligence, self-driving cars,” Wennink added.

Shares of ASML Holding on the NASDAQ rose 10.3% in August, according to data from S&P Global Market Intelligence

Sources: Wired, Global Newswire, Optics.org, Real World Technologies, New York Times, S&P Global Market Intelligence